分享
 
 
 

VHDL编程实例(第4版)(含光盘1张)(附赠CD光盘1张)

VHDL编程实例(第4版)(含光盘1张)(附赠CD光盘1张)  点此进入淘宝搜索页搜索
  特别声明:本站仅为商品信息简介,并不出售商品,您可点击文中链接进入淘宝网搜索页搜索该商品,有任何问题请与具体淘宝商家联系。
  參考價格: 点此进入淘宝搜索页搜索
  分類: 图书,计算机与互联网,程序语言与软件开发,语言与开发工具,综合,
  品牌: Douglas L.Perry

基本信息·出版社:电子工业出版社

·页码:352 页

·出版日期:2009年

·ISBN:7121087251/9787121087257

·条形码:9787121087257

·包装版本:4版

·装帧:平装

·开本:16

·正文语种:中文

·附带品描述:附赠CD光盘1张

产品信息有问题吗?请帮我们更新产品信息。

内容简介《VHDL编程实例(第4版)》从实用的角度出发,用讲解实例的方法,由浅入深地向读者依次介绍了VHDL的基本概念、建模的过程、预定义属性和配置等基本内容,并详细地介绍了设计描述、逻辑综合、RTL仿真、布局布线、VITAL仿真,以及系统硬件调试这样一个完整的VHDL设计过程。这样做目的是希望读者在完成《VHDL编程实例(第4版)》的各个例题后,基本能够掌握基于VHDL的数字系统设计方法,使其数字系统的设计能力上升到一个新的水平。《VHDL编程实例(第4版)》适合作为高等院校电子及计算机类专业,或相关专业高年级学生或研究生的教材,也可作为工程技术人员的参考用书。

编辑推荐《VHDL编程实例(第4版)》由电子工业出版社出版。

目录

第1章 VHDL介绍

1.1 VHDL术语

1.2 在VHDL中描述硬件

1.3 Entity实体

1.3.1 结构体

1.3.2 并行信号赋值

1.3.3 事件安排

1.3.4 语句并行性

1.3.5 结构设计

1.3.6 顺序行为

1.3.7 进程语句

1.3.8 进程声明区域

1.3.9 进程语句部分

1.3.10 进程的执行

1.3.11 顺序语句

1.3.12 结构体选择

1.3.13 配置语句

1.3.14 配置的作用

本章小结

第2章 行为建模

2.1 行为建模入门

2.2 传输延迟与惯性延迟

2.2.1 惯性延迟

2.2.2 传输延迟

2.2.3 惯性延迟模型

2.2.4 传输延迟模型

2.3 仿真delta

2.4 驱动器

2.4.1 驱动器的创建

2.4.2 坏的多驱动模型

2.5 类属

2.6 块语句

2.6.1 块的构成

2.6.2 块的保护

本章小结

第3章 顺序进程

3.1 进程语句

3.1.1 敏感列表

3.1.2 进程举例

3.2 信号赋值与变量赋值

3.2.1 不正确的mux例子

3.2.2 正确的mux例子

3.3 顺序语句

3.4 IF语句

3.5 CASE语句

3.6 LOOP循环

3.6.1 LOOP语句

3.6.2 NEXT语句

3.7 EXIT语句

3.8 ASSERT语句

3.9 WAIT语句

3.9.1 WAIT ON信号

3.9.2 WAIT UNTIL布尔表达式

3.9.3 WAIT FOR时间表达式

3.9.4 多重WAIT条件

3.9.5 WAIT超时

3.9.6 敏感列表和WAIT语句

3.10 并行赋值问题

3.11 被动进程

本章小结

第4章 数据类型

4.1 对象类型

4.1.1 信号

4.1.2 变量

4.1.3 常数

4.2 数据类型

4.2.1 标量类型

4.2.2 复合类型

4.2.3 不完整类型

4.2.4 文件类型

4.3 文件类型的注意事项

4.4 子类型

本章小结

第5章 子程序和程序包

5.1 子程序

5.1.1 函数

5.1.2 转换函数

5.1.3 解出函数

5.1.4 过程

5.2 程序包

5.2.1 程序包声明

5.2.2 延迟常数

5.2.3 子程序的声明

5.2.4 程序包体

本章小结

第6章 预定义属性

6.1 数值类属性

6.1.1 数据类型的数值属性

6.1.2 数组的数值属性

6.1.3 块的数值属性

6.2 函数类属性

6.2.1 数据类型的函数属性

6.2.2 数组的函数属性

6.2.3 信号的函数属性

6.2.4 ’EVENT属性和’LAST_VALUE属性

6.2.5 ’LAST_EVENT属性

6.2.6 ’ACTIVE属性和’LAST_ACTIVE属性

6.3 信号类属性

6.3.1 ’DELAYED信号延迟属性

6.3.2 ’STABLE信号稳定属性

6.3.3 ’QUIET信号静止属性

6.3.4 ’TRANSACTION事务属性

6.4 类型类属性

6.5 范围类属性

本章小结

第7章 配置

7.1 默认配置

7.2 元件配置

7.2.1 低层配置

7.2.2 实体—结构体对配置

7.2.3 端口映射

7.3 实体映射

7.4 配置中的类属

7.5 在结构体中指定类属参数值

7.6 在配置中指定类属参数值

7.7 板—插座—芯片描述方法

7.8 块的配置

7.9 结构体的配置

本章小结

第8章 VHDL高级特性

8.1 重载

8.1.1 子程序重载

8.1.2 重载运算符

8.2 别名

8.3 限定表达式

8.4 用户自定义属性

8.5 生成语句

8.5.1 规则生成语句

8.5.2 不规则生成语句

8.6 文件输入/输出程序包TextIO

本章小结

第9章 综合

9.1 寄存器传输级(RTL)描述

9.2 约束条件

9.2.1 时序约束条件

9.2.2 时钟约束条件

9.3 属性

9.3.1 负载

9.3.2 驱动

9.3.3 到达时间

9.4 工艺库

9.5 综合

9.5.1 转换

9.5.2 优化布尔方程

9.5.3 展平

9.5.4 因子分解

9.5.5 门级映射

本章小结

第10章 VHDL综合设计

10.1 简单的门——并行赋值语句

10.2 IF控制语句

10.3 Case控制语句

10.4 简单的顺序语句

10.5 异步复位

10.6 异步预置位和清零

10.7 复杂的顺序语句

10.8 4位移位寄存器

10.9 状态机设计举例

本章小结

第11章 高级设计流程

11.1 RTL仿真

11.2 VHDL综合

11.3 门级功能验证

11.4 布局与布线

11.5 版图时序仿真

11.6 静态定时分析

本章小结

第12章 顶层系统设计

12.1 CPU设计

12.2 顶层系统的操作

12.3 指令系统

12.4 简单的指令表述

12.5 CPU顶层设计

12.6 块复制操作

本章小结

第13章 CPU:综合描述

13.1 ALU(算术逻辑单元)

13.2 Comp(比较器)

13.3 Control(控制模块)

13.4 Reg(寄存器)

13.5 Regarray(寄存器阵列)

13.6 Shift(移位)

13.7 Trireg(三态寄存器)

本章小结

第14章 CPU:RTL仿真

14.1 测试平台

14.1.1 测试平台的分类

14.1.2 只有激励的测试平台

14.1.3 完全测试平台

14.1.4 特定仿真器

14.1.5 混合测试平台

14.1.6 快速测试平台

14.2 CPU仿真

本章小结

第15章 CPU设计:综合结果

本章小结

第16章 布局布线

16.1 布局布线过程

16.2 器件的布局布线

16.2.1 创建工程

16.2.2 后续步骤

本章小结

第17章 CPU:VITAL仿真

17.1 VITAL库

17.2 VITAL仿真过程概览

17.3 VITAL实现

17.4 简单VITAL模型

17.5 VITAL结构体

17.5.1 连线延迟部分

17.5.2 触发器例子

17.6 SDF文件

17.7 VITAL仿真

17.8 反标注仿真

本章小结

第18章 快速调试技术

18.1 分析工具

18.2 调试

18.3 CPU设计调试

18.3.1 创建工程

18.3.2 指定顶层参数

18.3.3 指定工程参数

18.4 分析信号

18.5 编写待分析设计

18.6 实现新的设计

18.7 开始调试

18.8 使能断点

18.9 触发位置

18.10 波形显示

18.11 设置观察点

18.12 复杂触发

本章小结

附录A

附录B

附录C

附录D

D.1 别名(Alias)

D.2 属性变化

D.3 位串文字

D.4 延时长度(DELAY_LENGTH)子

类型

D.5 直接例化

D.6 扩展标识符

D.7 文件操作

D.8 外部接口

D.9 生成语句变化

D.10 全局静态赋值

D.11 组

D.12 追加绑定

D.13 延迟进程

D.14 纯函数和非纯函数

D.15 脉冲滤除

D.16 报告(Report)语句

D.17 共享变量

D.18 移位操作符

D.19 语法一致性

D.20 无影响

D.21 同或(XNOR)操作符

……[看更多目录]

序言这是本书的第四版,现在这个版本不仅提供了VHDL语言体系,而且还提供了设计方法学的知识。这一版将通过创建一个VHDL设计,按照对设计进行仿真、综合,设计布局与布线,使用VITAL仿真来验证最终结果这么一个步骤来指导读者。快速(At-Speed)调试新技术可以提供极其快捷的设计验证。在这一版中的设计实例已经被更新以及时反映设计方法学的最新关注点。

本书旨在帮助硬件设计工程师学习怎样编写一个完善的VHDL设计描述。其目标是提供足够的VHDL和设计方法学的知识,使得设计人员能够快速地完成一个优良的VHDL设计,并且能够验证这个设计。本书也将试图使一个对VHDL完全不了解或仅了解一点点的设计人员通过阅读本书达到写出复杂的VHDL描述的水平。本书并不打算给出在每一个可能的应用领域中的每一个可能的VHDL结构,而是让设计人员了解怎样简单、高效、正确地写出硬件设计的VHDL描述。

本书在内容编排上分为三个逻辑部分。第一部分介绍了VHDL语言;第二部分贯穿了VHDL的基本设计步骤,其中包括仿真、综合、布局与布线,以及VITAL仿真;第三部分贯穿介绍了一个小型CPU设计的例子,包括从VHDL的获取直到最终的门级实现,以及快速调试。在本书的最后附有附录,介绍了许多关于这门语言的有用的知识和本书所使用的例子。

在第一部分中不止一次地介绍了VHDL的特性。在介绍每一个特性时,都引入了一个或多个实例来表明怎样应用这些特性。第一部分由第1~8章组成,在每一章中都介绍了VHDL的一些描述性能。第1章讨论了VHDL设计是怎样与原理图设计相关联的,并且介绍了这门语言的基本术语。第2章描述了VHDL的一些基本概念,包括不同的延时机制、怎样使用例化的特殊数据和定义VHDL驱动器。第2章讨论了并行语句,而在第3章中向读者介绍了顺序语句。在第4章中谈到了在VHDL中类型使用的有效范围,对每一个类型都给出了一些例子,用以表明在实际的例子中如何使用它们。在第5章中引入了子程序和程序包的概念,给出了函数的不同用法,以及在VHDL程序包中可以引用的特性。

第6章中介绍了VHDL的5种属性,每种属性都通过举例说明怎样使用这些特定的属性来使设计人员得到最大的便利。通过所给出的例子说明了每一个属性的作用。

第7章和第8章向读者介绍了一些更加高级的VHDL特性。第7章讨论了怎样使用VHDL的配置来构造和管理复杂的VHDL设计,对每一个不同配置方式与表明它们的用法的例子一起进行了讨论。第8章介绍了更多的关于讨论重载、用户定义属性、生成语句和TextIO的VHDL高级主题。

本书的第二部分包含了第9~11章。第9章和第10章讨论了综合的方法,怎样编写可综合的设计。这两章描述了综合过程的基础,其中包含怎样编写一个可综合的VHDL,什么是工艺库,综合的过程是怎样的,什么是它的约束和属性以及最优化的过程是怎样进行的。第11章讨论了从VHDL获取直到VITAL仿真的完整的高水平设计流程。

本书的第三部分贯穿介绍了一个小型CPU设计的全过程,从VHDL的编程到仿真、综合,布局与布线以及VITAL仿真。第12章从逻辑功能的角度描述了CPU的顶层设计。在第13章中,展现了CPU的RTL描述并且从综合的角度进行了讨论。第14章讨论VHDL的测试平台和怎样使用这些平台来进行功能验证,在叙述了CPU设计的仿真后结束了第14章的讨论。在第15章中,验证过的设计被综合成为目标工艺。第16章得到综合后的设计,并且对这个设计进行布局与布线,使之成为目标器件。第17章以对VITAL的讨论开始,以对完成了布局和布线的CPU设计进行VITAL仿真而结束。第18章是一个新增的章节,讨论了快速调试新技术,这一章深入细致地告诉读者这个CPU设计的硬件实现怎样能够帮助加速验证。

最后,在本书的结尾有4个附录提供了参考信息。附录A是贯穿本书所使用的一个IEEE 1164 STD_LOGIC程序包的程序清单。附录B是一组非常有用的表格,它把本书中其余的有用信息汇总成几个快速参考表。最终,附录C告诉我们怎样阅读在VHDL语言参考手册(VHDL Language Reference Manual)中使用的Bachus-Naur描述模式(BNF)。附录D中列举了VHDL93更新的内容。我谨希望读者能和我在写作本书时获得乐趣一样,从本书的阅读中和在使用VHDL的工作中获得许多乐趣。

文摘插图:

VHDL编程实例(第4版)(含光盘1张)(附赠CD光盘1张)

第1章VHDL介绍

VHSIC硬件描述语言(VHDL)是一门工业标准语言,用来描述从抽象层次直到具体层次的硬件。VHDL起源于在20世纪70年代和80年代初美国国防部的工作,它是由ADA语言发展起来的,至今都可以在VHDL的全部结构以及其他的VHDL的语句中看到它的影子。

VHDL的用户自它诞生以来迅速增加,目前在全球已有成千上万的工程师用它来创造复杂的电子产品。本章将以由浅入深的方式把读者带进复杂的vHDL世界。VHDL是一门强有力的语言,具有若干个语言构造,用这些构造可以描述非常复杂的行为。了解VHDL的所有特性不是一项简单的任务,本章首先以一个简单的方式介绍它的复杂特性,然后再描述它的更加复杂的用法。

1986年,VHDL被提议作为IEEE的一个标准。在经历了若干次的更改和修订之后,终于在1987年12月被接纳作为IEEE 1076标准。IEEE 1076.1987标准vHDL是本书所使用的VHDL。(附录D中简短地描述了VHDL 1076—1993)。所有的例子都是用IEEE1076 VHDL描述的,用Model Technology公司的VHDL仿真环境进行了编译和仿真。综合的例子是用Exemplaur Logic公司的综合工具完成的。

 
 
免责声明:本文为网络用户发布,其观点仅代表作者个人观点,与本站无关,本站仅提供信息存储服务。文中陈述内容未经本站证实,其真实性、完整性、及时性本站不作任何保证或承诺,请读者仅作参考,并请自行核实相关内容。
2023年上半年GDP全球前十五强
 百态   2023-10-24
美众议院议长启动对拜登的弹劾调查
 百态   2023-09-13
上海、济南、武汉等多地出现不明坠落物
 探索   2023-09-06
印度或要将国名改为“巴拉特”
 百态   2023-09-06
男子为女友送行,买票不登机被捕
 百态   2023-08-20
手机地震预警功能怎么开?
 干货   2023-08-06
女子4年卖2套房花700多万做美容:不但没变美脸,面部还出现变形
 百态   2023-08-04
住户一楼被水淹 还冲来8头猪
 百态   2023-07-31
女子体内爬出大量瓜子状活虫
 百态   2023-07-25
地球连续35年收到神秘规律性信号,网友:不要回答!
 探索   2023-07-21
全球镓价格本周大涨27%
 探索   2023-07-09
钱都流向了那些不缺钱的人,苦都留给了能吃苦的人
 探索   2023-07-02
倩女手游刀客魅者强控制(强混乱强眩晕强睡眠)和对应控制抗性的关系
 百态   2020-08-20
美国5月9日最新疫情:美国确诊人数突破131万
 百态   2020-05-09
荷兰政府宣布将集体辞职
 干货   2020-04-30
倩女幽魂手游师徒任务情义春秋猜成语答案逍遥观:鹏程万里
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案神机营:射石饮羽
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案昆仑山:拔刀相助
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案天工阁:鬼斧神工
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案丝路古道:单枪匹马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:与虎谋皮
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:李代桃僵
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:指鹿为马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:小鸟依人
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:千金买邻
 干货   2019-11-12
 
推荐阅读
 
 
>>返回首頁<<
 
 
靜靜地坐在廢墟上,四周的荒凉一望無際,忽然覺得,淒涼也很美
© 2005- 王朝網路 版權所有